→ Описание интерфейса SPI. SPI Arduino – подключение устройств к ардуино Spi скорость передачи данных

Описание интерфейса SPI. SPI Arduino – подключение устройств к ардуино Spi скорость передачи данных

SPI-интерфейс был разработан фирмой Motorola. На сегодняшний день он один из самых популярных, благодаря высокой скорости и исключительной простоте, из всех тех, что относятся к последовательному типу. Кроме того, SPI-интерфейс представляет собой еще и принцип связи. По сути, SPI является логикой (ведущий-ведомый) между двумя различными устройствами. Физическим свойствам уделяют гораздо меньше внимания, их реализуют, как говорится, «по обстоятельствам», при этом не предусматривается протокол нижнего уровня. Каждый производитель может внести что-то свое.

SPI-интерфейс: описание

Логика такого устройства заключается в последовательной передаче данных (побитно). При этом установка и считывание разделяются во времени благодаря специальному синхросигналу на специальной шине (ее называют "шиной тактирования", или "синхронизации"). Под разделением понимают то, что процесс установки и считывания данных происходит по противоположным фронтам синхроимпульса, генерируемого на шине. Благодаря такому четко разделенному во времени чередованию считываний и установок, создается возможность использования одного и того же регистра для приема и для передачи информации. Именно под такой принцип и разрабатывался SPI-интерфейс. Однако развитие техники не стоит на месте, на сегодняшний день большие объемы памяти не представляют никаких проблем, и большинство устройств имеют отдельные входные и выходные регистры. Вот в двух словах мы и рассмотрели, каким образом устроен интерфейс SPI.

Описание работы устройства

Прибор, генерирующий синхроимпульсы (управляющий) на шину тактирования, является «ведущим» (мастер). Такое устройство осуществляет управление всем процессом обмена данными, то есть определяет, когда начать обмен, когда закончить, сколько бит информации передать и т. д. Второй прибор, участвующий в обмене, называется «ведомым». Это устройство никаким образом не влияет на шину тактирования. Для полнодуплексного обмена (передача в обе стороны одновременно) SP- интерфейс использует четыре линии:

- MOSI - выход ведущего и вход ведомого. По этой линии происходит от главного устройства к приемному.

MISO - вход ведущего и выход «ведомого». По этому проводу мастер принимает данные от вспомогательного прибора.

SCLK - шина тактирования. По этой линии «ведущее» устройство генерирует синхроимпульсы.

SS - выбор «ведомого». С помощью этого провода мастер управляет сеансом обмена.

Уровни логического нуля и единицы кодируются величиной напряжения на шине данных (MISO и MOSI). Сигнал SS обозначает конец и начало сеанса обмена информацией. Чаще всего он инверсный. Это значит, что во время обмена данными «ведущее» устройство должно установить на линии SS сигнал низкого уровня, а по окончании обмена - высокого. Наличие уровня SS позволяет организовать передачу по нескольким «ведомым» приборам, используя один синхронизирующий сигнал и одну шину данных без дополнительных протоколов. Правда, при таком подключении необходимо подводить от каждого приемного устройства отдельную линию SS.

Теперь вы имеете общее представление о последовательном периферийном интерфейсе и можно перейти к рассмотрению SPI модуля.
SPI модуль микроконтроллера AVR atmega16 использует для своей работы 4 вывода - MOSI, MISO, SCK и SS. Когда модуль не задействован, эти выводы являются линиями портов ввода/вывода общего назначения. Когда модуль включен, режим работы этих выводов переопределяются согласно следующей таблице.

Если к микроконтроллеру подключено больше одного периферийного устройства, в качестве дополнительных выводов выбора (SS), можно использовать любые выводы общего назначения. При этом штатный вывод SS должен быть всегда правильно сконфигурирован, даже если он не используется.

Регистры SPI модуля

В микроконтроллере atmega16 для работы с модулем SPI используются три регистра:

Управляющий регистр SPCR,
- статусный регистр SPSR,
- регистр данных SPDR.

Все три регистра восьмиразрядные.

Кофигурация модуля SPI устанавливается с помощью регистра SPCR (SPI Control Register).

SPIE – разрешает /запрещает прерывания от модуля SPI. Если бит установлен в 1, прерывания от SPI разрешены.

SPE – включает/выключает модуль SPI. Если бит установлен в 1, модуль SPI включен.

DORD – определяет порядок передачи данных. Когда бит установлен в 1, содержимое регистра данных передается младшим битом вперед. Когда бит сброшен, то старшим битом вперед.

MSTR – определяет режим работы микроконтроллера. Если бит установлен в 1, микроконтроллер работает в режиме Master (ведущий). Если бит сброшен – в режиме Slave (ведомый). Обычно микроконтроллер работает в режиме master.

CPOL и CPHA – определяют в каком режиме работает SPI модуль. Требуемый режим работы зависит от используемого периферийного устройства.


SPR1
и SPR0 – определяют частоту тактового сигнала SPI модуля, то есть скорость обмена. Максимально возможная скорость обмена всегда указывается в спецификации периферийного устройства.


Статусный регистр SPSR (SPI Status Register) предназначен для контроля состояния SPI модуля
, кроме того он содержит дополнительный бит управления скоростью обмена.


SPIF
– флаг прерывания от SPI. Он устанавливается в 1 по окончании передачи байта данных. Если разрешены прерывания модуля, одновременно с установкой этого флага генерируется прерывание от SPI. Также этот флаг устанавливается в 1 при переводе микроконтроллера из режима master в режим slave с помощью вывода SS.
Сброс флага происходит аппаратно, при вызове подпрограммы обработки прерывания или после чтения регистра SPSR с последующим обращением к регистру данных SPDR.

WCOL - флаг конфликта записи. Флаг устанавливается в 1, если во время передачи данных выполняется попытка записи в регистр данных SPDR. Флаг сбрасывается аппаратно после чтения регистра SPSR с последующим обращением к регистру данных SPDR.

SPI2X - бит удвоения скорости обмена. Установка этого разряда в 1 удваивает частоту тактового сигнала SCK. Микроконтроллер при этом должен работать в режиме master.

Взаимосвязь между битами SPR0, SPR1, SPI2X и частотой тактового сигнала SCK показана в таблице.

Где Fosc - тактовая частота микроконтроллера AVR.


Для передачи и приема данных предназначен регистр SPDR (SPI Data Register)
. Запись данных в этот регистр инициирует передачу данных SPI модулем. При чтении этого регистра, считывается содержимое буфера сдвигового регистра SPI модуля.

Программный код

Минимальный программный код для работы с SPI модулем состоит из двух функций:

Функции инициализации.
- функции передачи/приема байта данных

Инициализация SPI модуля

Инициализация включает в себя конфигурирование выводов SPI модуля и управляющего регистра SPCR.


#define SPI_PORTX PORTB
#define SPI_DDRX DDRB

#define SPI_MISO 6
#define SPI_MOSI 5
#define SPI_SCK 7
#define SPI_SS 4

/*инициализация SPI модуля в режиме master*/
void SPI_Init(void)
{

/*настройка портов ввода-вывода
все выводы, кроме MISO выходы*/
SPI_DDRX |= (1< SPI_PORTX |= (1<

/*разрешение spi,старший бит вперед,мастер, режим 0*/
SPCR = (1< SPSR = (0<}

Передача/прием данных

Процесс передачи/приема данных с помощью SPI модуля, работающего в режиме Master, состоит из следующей последовательности действий:

1. установка низкого логического уровня на линии SS
2. загрузка данных в регистр SPDR
3. ожидание окончания передачи (проверка флага SPIF)
4. сохранение принятых данных (чтение SPDR), если требуется
5. возврат на 2-ой шаг, если переданы не все данные
6. установка высокого логического уровня на линии SS

Ниже приведено несколько вариантов функции передачи/приема данных.

Передача одного байта данных по SPI


void SPI_WriteByte(uint8_t data)
{
SPI_PORTX &= ~(1< SPDR = data;
while(!(SPSR & (1< SPI_PORTX |= (1<}

Передача и прием одного байта данных по SPI

uint8_t SPI_ReadByte(uint8_t data)
{
uint8_t report;
SPI_PORTX &= ~(1< SPDR = data;
while(!(SPSR & (1< report = SPDR;
SPI_PORTX |= (1< return report;
}

Передача нескольких байтов данных по SPI
*data – указатель на массив передаваемых данных, а num – размерность массива


void SPI_WriteArray(uint8_t num, uint8_t *data)
{
SPI_PORTX &= ~(1< while(num--){
SPDR = *data++;
while(!(SPSR & (1< }
SPI_PORTX |= (1<}

//Пример использования:
uint8_t buf = {12, 43, 98};


SPI_WriteArray(3, buf);

Передачи и прием нескольких байтов данных по SPI
*data – указатель на массив передаваемых данных, а num – размерность массива.
Принятые данные будут сохраняться в том же массиве.


void SPI_ReadArray(uint8_t num, uint8_t *data)
{
SPI_PORTX &= ~(1< while(num--){
SPDR = *data;
while(!(SPSR & (1< *data++ = SPDR;
}
SPI_PORTX |= (1<}

Файлы

Простой SPI драйвер, в котором сведены все описанные выше функции -

Интерфейсы передачи.

Для передачи данных с одного устройства на другое или с одной микросхемы на другую разработано множество интерфейсов передачи данных. Каждый интерфейс имеет как положительные, так и отрицательные стороны, поэтому необходимо знать какие интерфейсы бывают, их плюсы и минусы и использовать правильный интерфейс для передачи данных в той или иной ситуации.

Интерфейсы бывают с асинхронной и синхронной передачей данных. При синхронной передаче данных, одновременно с данными передаётся синхросигнал, позволяющий синхронизироваться приёмнику и передатчику. Примером такого протокола служит интерфейс SPI.

В асинхронной передаче данных синхронизирующий сигнал отсутствует. В таких линиях существует опасность рассогласования приёмника и передатчика, в результате чего дальнейшие данные будут приняты не правильно. Для предотвращения этого в асинхронных интерфейсах производится периодическая синхронизация по линиям передачи данных. Плюсом таких интерфейсов является меньшее число проводников, требующееся для передачи.

Рассмотрим несколько наиболее популярных интерфейсов поближе.

Интерфейс USART.

Интерфейс USART - последовательный универсальный синхронно-асинхронный приемо-передатчик. Передача данных в USART осуществляется через равные промежутки времени. Этот временной промежуток определяется заданной скоростью USART и указывается в бодах (Для символов, которые могут принимать значения, равные только нулю или единице бод эквивалентен битам в секунду). Существует общепринятый ряд стандартных скоростей: 300, 600, 1200, 2400, 4800, 9600, 19200, 38400, 57600, 115200, 230400, 460800, 921600 бод.

Помимо бит данных USART автоматически вставляет в поток синхронизирующие метки, так называемые стартовый и стоповый биты. При приёме эти лишние биты удаляются. Обычно стартовый и стоповый биты отделяют один байт информации (8 бит), однако встречаются реализации USART, которые позволяют передавать по 5, 6, 7, 8 или 9 бит. Биты, отделённые стартовым и стоповым сигналами, являются минимальной посылкой. USART позволяет вставлять два стоповых бита при передаче для уменьшения вероятности рассинхронизации приёмника и передатчика при плотном трафике. Приёмник игнорирует второй стоповый бит, воспринимая его как короткую паузу на линии.

Принято соглашение, что пассивным (в отсутствие данных) состоянием входа и выхода USART является логическая «1». Стартовый бит всегда логический «0», поэтому приёмник USART ждёт перепада из «1» в «0» и отсчитывает от него временной промежуток в половину длительности бита (середина передачи стартового бита). Если в этот момент на входе всё ещё «0», то запускается процесс приёма минимальной посылки. Для этого приёмник отсчитывает 9 битовых длительностей подряд (для 8-бит данных) и в каждый момент фиксирует состояние входа. Первые 8 значений являются принятыми данными, последнее значение проверочное (стоп-бит). Значение стоп-бита всегда «1», если реально принятое значение иное, USART фиксирует ошибку.

Для формирования временных интервалов передающий и приёмный USART имеют источник точного времени (тактирования). Точность этого источника должна быть такой, чтобы сумма погрешностей (приёмника и передатчика) установки временного интервала от начала стартового импульса до середины стопового импульса не превышала половины (а лучше хотя бы четверти) битового интервала. Для 8-бит посылки 0,5/9,5 = 5 % (в реальности не более 3 %). Поскольку эта сумма ошибок приёмника и передатчика плюс возможные искажения сигнала в линии, то рекомендуемый допуск на точность тактирования USART не более 1,5 %.

Поскольку синхронизирующие биты занимают часть битового потока, то результирующая пропускная способность UART не равна скорости соединения. Например, для 8-битных посылок формата 8-N-1 синхронизирующие биты занимают 20 % потока, что для физической скорости 115 200 бод даёт битовую скорость данных 92160 бит/с или 11 520 байт/с.

Контроль чётности

В протоколе USART имеют возможность автоматически контролировать целостность данных методом контроля битовой чётности. Когда эта функция включена, последний бит данных («бит чётности») всегда принимает значение 1 или 0, так чтобы количество единиц в байте всегда было четным.

Управление потоком

В старые времена устройства с USART могли быть настолько медлительными, что не успевали обрабатывать поток принимаемых данных. Для решения этой проблемы модули USART снабжались отдельными выходами и входами управления потоком. При заполнении входного буфера логика принимающего USART выставляла на соответствующем выходе запрещающий уровень, и передающий USART приостанавливал передачу. Позже управление потоком возложили на коммуникационные протоколы, и надобность в отдельных линиях управления потоком постепенно исчезла.

Физическая реализация.

USART это протокол обмена, т.е. он определяет способ формирования бита, параметры передачи байта, скорость передачи и прочее.

А вот физическая реализация у USART-a может быть различная. Например, для передачи данных внутри одной платы сигналы передаются уровнями +5В и 0В. Для передачи данных на длинные расстояния и между устройствами применяются другие физические уровни напряжений и стандарты такие как: токовая петля (4-20 мА), RS-232 (COM-порт), RS-485 и тому подобные.

Для преобразования «контроллерных» уровней 0-5В в «стандартные» существует огромное количество специализированных микросхем, например ADM202 для RS-232.

Последовательный интерфейс SPI

Наименование SPI является аббревиатурой от "Serial Peripheral Bus", что отражает его предназначение - шина для подключения внешних устройств. Шина SPI организована по принципу "ведущий-подчиненный". В качестве ведущего шины обычно выступает микроконтроллер, но им также может быть программируемая логика, DSP-контроллер или специализированная ИС. Устройства, подключенные к ведущему, являются ведомыми. В их роли выступают различного рода микросхемы, в т.ч. запоминающие устройства (EEPROM, Flash-память, SRAM), часы реального времени (RTC), АЦП/ЦАП, цифровые потенциометры, специализированные контроллеры и др.

Главным составным блоком интерфейса SPI является обычный сдвиговый регистр, сигналы синхронизации и ввода/вывода битового потока которого и образуют интерфейсные сигналы. Таким образом, протокол SPI правильнее назвать не протоколом передачи данных, а протоколом обмена данными между двумя сдвиговыми регистрами, каждый из которых одновременно выполняет и функцию приемника, и функцию передатчика. Непременным условием передачи данных по шине SPI является генерация сигнала синхронизации шины. Этот сигнал имеет право генерировать только ведущий и от него полностью зависит работа подчиненного.

Подключение.

Существует три типа подключения к шине SPI, в каждом из которых участвуют четыре сигнала. Назначение сигналов SPI описано в таблице 7.1.

Самое простое подключение, в котором участвуют только две микросхемы, показано на рисунке 7.2. Здесь, ведущий шины передает данные по линии MOSI синхронно со сгенерированным им же сигналом SCLK, а подчиненный захватывает переданные биты данных по определенным фронтам принятого сигнала синхронизации. Одновременно с этим подчиненный отправляет свою посылку данных. Представленную схему можно упростить исключением линии MISO, если используемая подчиненная ИС не предусматривает ответную передачу данных или в ней нет потребности. Одностороннюю передачу данных можно встретить у таких микросхем как ЦАП, цифровые потенциометры, программируемые усилители и драйверы. Таким образом, рассматриваемый вариант подключения подчиненной ИС требует 3 или 4 линии связи.

Чтобы подчиненная ИС принимала и передавала данные, помимо наличия сигнала синхронизации, необходимо также, чтобы линия SS была переведена в низкое состояние. В противном случае, подчиненная ИС будет неактивна. Когда используется только одна внешняя ИС, может возникнуть соблазн исключения и линии SS за счет жесткой установки низкого уровня на входе выбора подчиненной микросхемы. Такое решение крайне нежелательно и может привести к сбоям или вообще невозможности передачи данных, т.к. вход выбора микросхемы служит для перевода ИС в её исходное состояние и иногда инициирует вывод первого бита данных.

При необходимости подключения к шине SPI нескольких микросхем используется либо независимое (параллельное) подключение (рис. 7.3), либо каскадное (последовательное) (рис. 7.4). Независимое подключение более распространенное, т.к. достигается при использовании любых SPI-совместимых микросхем. Здесь, все сигналы, кроме выбора микросхем, соединены параллельно, а ведущий шины, переводом того или иного сигнала SS в низкое состояние, задает, с какой подчиненной ИС он будет обмениваться данными. Главным недостатком такого подключения является необходимость в дополнительных линиях для адресации подчиненных микросхем (общее число линий связи равно 3+n, где n-количество подчиненных микросхем).

В случае нехватки ножек микроконтроллера можно использовать микросхему демультиплексора. Демультиплексор подключает единственный входной сигнал к одному из выходных сигналов, в зависимости от кода на управляющих ножках. На рис 7.4 представлена схема подключения демультиплексора. На его вход подаётся сигнал SS, который принимает значение, равное 0, если необходимо выбрать одну из микросхем. Номер нужной микросхемы в двоичном коде подаётся на ножки Am-A0. Это позволяет уменьшить количество используемых в микроконтроллере ножек до m=log 2 n. Где n – количество подчинённых микросхем. Т.е. для подключения 128-ми устройств требуется 8 выводов микроконтроллера. Один для установки разрешающего сигнала и 7 для установки номера включаемой микросхемы. Необходимо отметить, что на неподключенных ножках демультиплексора должна быть логическая единица. В противном случае используется инвертер сигнала, преобразующий логический ноль в логическую единицу.

Каскадное включение избавлено от этого недостатка, т.к. здесь из нескольких микросхем образуется один большой сдвиговый регистр. Для этого выход передачи данных одной ИС соединяется со входом приема данных другой, как показано на рисунке 3. Входы выбора микросхем здесь соединены параллельно и, таким образом, общее число линий связи сохранено равным 4. Однако использование каскадного подключения возможно только в том случае, если его поддержка указана в документации на используемые микросхемы. Чтобы выяснить это, важно знать, что такое подключение по-английски называется "daisy-chaining".

Протокол передачи

Протокол передачи по интерфейсу SPI по сути идентичен логике работы сдвигового регистра (рис 7.6), которая заключается в выполнении операции сдвига и, соответственно, побитного ввода и вывода данных по определенным фронтам сигнала синхронизации. Установка данных при передаче и выборка при приеме всегда выполняются по противоположным фронтам синхронизации. Это необходимо для гарантирования выборки данных после надежного их установления. Если к этому учесть, что в качестве первого фронта в цикле передачи может выступать нарастающий или падающий фронт, то всего возможно четыре варианта логики работы интерфейса SPI. Эти варианты получили название режимов SPI и описываются двумя параметрами:

· CPOL - исходный уровень сигнала синхронизации (если CPOL=0, то линия синхронизации до начала цикла передачи и после его окончания имеет низкий уровень (т.е. первый фронт нарастающий, а последний - падающий), иначе, если CPOL=1, - высокий (т.е. первый фронт падающий, а последний - нарастающий));

· CPHA - фаза синхронизации; от этого параметра зависит, в какой последовательности выполняется установка и выборка данных. Если CPHA=0, то по переднему фронту в цикле синхронизации будет выполняться выборка данных, а затем, по заднему фронту, - установка данных. Если же CPHA=1, то установка данных будет выполняться по переднему фронту в цикле синхронизации, а выборка - по заднему.

Информация по режимам SPI представлена на рис 7.7 и 7.8.

Ведущая и подчиненная микросхемы, работающие в различных режимах SPI, являются несовместимыми, поэтому, перед выбором подчиненных микросхем важно уточнить, какие режимы поддерживаются ведущим шины. Аппаратные модули SPI, интегрированные в микроконтроллеры, в большинстве случаев поддерживают возможность выбора любого режима и, поэтому, к ним возможно подключение любых подчиненных SPI-микросхем (относится только к независимому варианту подключения). Кроме того, протокол SPI в любом из режимов легко реализуется программно.

Интерфейс RS-485

Интерфейс RS-485 (другое название - EIA/TIA-485) - один из наиболее распространенных стандартов физического уровня связи. Физический уровень - это канал связи и способ передачи сигнала (1 уровень модели взаимосвязи открытых систем OSI).

Сеть, построенная на интерфейсе RS-485, представляет собой приемопередатчики, соединенные при помощи витой пары - двух скрученных проводов. В основе интерфейса RS-485 лежит принцип дифференциальной (балансной) передачи данных. Суть его заключается в передаче одного сигнала по двум проводам. Причем по одному проводу (условно A) идет оригинальный сигнал, а по другому (условно B) - его инверсная копия. Другими словами, если на одном проводе "1", то на другом "0" и наоборот. Таким образом, между двумя проводами витой пары всегда есть разность потенциалов: при "1" она положительна, при "0" – отрицательна (рис 7.9).

Именно этой разностью потенциалов и передается сигнал. Такой способ передачи обеспечивает высокую устойчивость к синфазной помехе. Синфазной называют помеху, действующую на оба провода линии одинаково. К примеру, электромагнитная волна, проходя через участок линии связи, наводит в обоих проводах потенциал. Если сигнал передается потенциалом в одном проводе относительно общего, как в RS-232, то наводка на этот провод может исказить сигнал относительно хорошо поглощающего наводки общего ("земли"). Кроме того, на сопротивлении длинного общего провода будет падать разность потенциалов земель - дополнительный источник искажений. А при дифференциальной передаче искажения не происходит. В самом деле, если два провода пролегают близко друг к другу, да еще перевиты, то наводка на оба провода одинакова. Потенциал в обоих одинаково нагруженных проводах изменяется одинаково, при этом информативная разность потенциалов остается без изменений.

Аппаратная реализация интерфейса RS485.

Аппаратная реализация интерфейса - микросхемы приемопередатчиков с дифференциальными входами/выходами (к линии) и цифровыми портами (к портам UART контроллера). Существуют два варианта такого интерфейса: RS-422 и RS-485.

RS-422 - полнодуплексный интерфейс. Прием и передача идут по двум отдельным парам проводов. На каждой паре проводов может быть только по одному передатчику.

RS-485 - полудуплексный интерфейс. Прием и передача идут по одной паре проводов с разделением по времени. В сети может быть много передатчиков, так как они могут отключаются в режиме приема (рис 7.10).

Расшифровка обозначений на рис 7.10

D (driver) - передатчик;
R (receiver) - приемник;
DI (driver input) - цифровой вход передатчика;
RO (receiver output) - цифровой выход приемника;
DE (driver enable) - разрешение работы передатчика;
RE (receiver enable) - разрешение работы приемника;
A - прямой дифференциальный вход/выход;
B - инверсный дифференциальный вход/выход;
Y - прямой дифференциальный выход (RS-422);
Z - инверсный дифференциальный выход (RS-422).

Подробнее остановимся на приемопередатчике RS-485. Цифровой выход приемника (RO) подключается к порту приемника UART (RX). Цифровой вход передатчика (DI) к порту передатчика UART (TX). Поскольку на дифференциальной стороне приемник и передатчик соединены, то во время приема нужно отключать передатчик, а во время передачи - приемник. Для этого служат управляющие входы - разрешение приемника (RE) и разрешения передатчика (DE). Так как вход RE инверсный, то его можно соединить с DE и переключать приемник и передатчик одним сигналом с любого порта контроллера. При уровне "0" - работа на прием, при "1" - на передачу (рис 7.11).

Приемник, получая на дифференциальных входах (AB) разность потенциалов (UAB) переводит их в цифровой сигнал на выходе RO. Чувствительность приемника может быть разной, но гарантированный пороговый диапазон распознавания сигнала производители микросхем приемопередатчиков пишут в документации. Обычно эти пороги составляют ± 200 мВ. То есть, когда UAB > +200 мВ - приемник определяет "1", когда UAB < -200 мВ - приемник определяет "0". Если разность потенциалов в линии настолько мала, что не выходит за пороговые значения - правильное распознавание сигнала не гарантируется. Кроме того, в линии могут быть и не синфазные помехи, которые исказят столь слабый сигнал.

Все устройства подключаются к одной витой паре одинаково: прямые выходы (A) к одному проводу, инверсные (B) - к другому.

Входное сопротивление приемника со стороны линии (RAB) обычно составляет 12 КОм. Так как мощность передатчика не беспредельна, это создает ограничение на количество приемников, подключенных к линии. Согласно спецификации RS-485 c учетом согласующих резисторов передатчик может вести до 32 приемников. Однако есть ряд микросхем с повышенным входным сопротивлением, что позволяет подключить к линии значительно больше 32 устройств.

Максимальная скорость связи по спецификации RS-485 может достигать 10Мбод/сек. Максимальное расстояние – 1200 метров. Если необходимо организовать связь на расстоянии большем 1200 метров или подключить больше устройств, чем допускает нагрузочная способность передатчика - применяют специальные повторители (репитеры).

Интерфейс I2C.

Этот интерфейс был предложен фирмой Philips, которая применила его для организации связи между микросхемами в своих телевизорах. I 2 C (аббревиатура слов Inter-Integrated Circuit) представляет собой двунаправленную асинхронную шину с последовательной передачей данных. Физически шина I 2 C представляет собой две сигнальные линии, одна из которых (SCL) предназначена для передачи тактового сигнала, а вторая (SDA) для обмена данными. Для управления линиями применяются выходные каскады с открытым коллектором, поэтому линии шины должны быть подтянуты к источнику питания +5 В через резисторы сопротивлением 1...10 кОм, в зависимости от физической длины линий и скорости передачи данных. Длина соединительных линий в стандартном режиме может достигать 2-х метров, скорость передачи данных – 100 кбит/с.

Все абоненты шины делятся на два класса – «Ведущий» и «Ведомый». Ведущее устройство генерирует тактовый сигнал (SCL). Оно может самостоятельно выходить на шину и адресовать любое ведомое устройство с целью передачи или приёма информации. Все ведомые устройства "слушают" шину на предмет обнаружения собственного адреса и, распознав его, выполняют предписываемую операцию. Кроме того, возможен так называемый "MultiMaster"-режим, когда на шине установлено несколько ведущих устройств, которые либо совместно разделяют общие ведомые устройства, либо попеременно являются то ведущими, когда сами инициируют обмен информацией, то ведомыми, когда находятся в режиме ожидания обращения от другого ведущего устройства. Режим "MultiMaster" требует постоянного слежения и распознавания конфликтов. В связи с этим, данный режим сложнее в реализации (имеется ввиду программная реализация) и, как следствие, реже используется в реальных изделиях.

В начальный момент времени – в режиме ожидания – обе лини SCL и SDA находятся в состоянии логической единицы (транзистор выходного каскада с открытым коллектором закрыт). В режиме передачи (рис 7.12) бит данных SDA тактируется восходящим фронтом SCL. Смена информации на линии SDA производится при нулевом состоянии линии SCL. Ведомое устройство может "придерживать" линию SCL в нулевом состоянии, например, на время обработки очередного принятого байта, при этом ведущее устройство обязано дождаться освобождения линии SCL, прежде чем продолжать передачу информации.

Для синхронизации пакетов шины I 2 C различают два условия – "START" и "STOP", ограничивающие начало и конец информационного пакета (рис 7.13). Для кодирования этих условий используется изменение состояния линии SDA при единичном состоянии линии SCL, что недопустимо при передаче данных. "START"-условие образуется при появлении нисходящего фронта на линии SDA, когда линия SCL находится в единичном состоянии, и наоборот, "STOP"-условие образуется при появлении восходящего фронта линии SDA при единичном состоянии линии SCL.

Передача данных начинается по первому восходящему фронту на линии SCL, которым тактируется старший бит первого информационного байта. Каждый информационный байт (8 битов) содержит 9 тактовых периодов линии SCL. В девятом такте устройство-получатель выдаёт подтверждение (ACK) – нисходящий фронт, свидетельствующий о приёме данных. Следует отметить, что любой абонент шины, как ведущий, так и ведомый может в разные моменты времени быть как передатчиком, так и получателем и в соответствии с режимом обязан либо принимать, либо выдавать сигнал ACK, отсутствие которого интерпретируется как ошибка.

Чтобы начать операцию обмена данными, ведущее устройство выдаёт на шину "START"-условие. За "START"-условием следует байт с адресом ведомого устройства (рис 7.14), состоящий из семибитового адреса устройства (биты 1...7) и однобитового флага операции чтения-записи - "R/W" (бит 0). Бит R/W определяет направление обмена, причём 0 означает передачу данных от ведущего к ведомому (рис 7.14а), а 1 – чтение из ведомого (рис 7.14б). Все биты по шине I 2 C передаются в порядке от старшего к младшему, то есть первым передаётся 7-ой бит, последним 0-ой. За адресом могут следовать один или более информационных байтов (в направлении, определённом флагом R/W), биты которых тактируются ведущим устройством по шине SCL.

При совершении операции чтения ведущее устройство должно сопровождать прочитанный байт сигналом ACK, если необходимо прочитать следующий байт, и не выдавать сигнал ACK, если собирается закончить чтение пакета (см. рисунок 7.14б).

Допускается многократное возобновление адреса ведомого устройства в одном цикле передачи, то есть передача повторного "START"-условия без предварительного "STOP"-условия (рисунок 7.14в).

Необходимо отметить некоторые особенности микросхем памяти, работающих по интерфейсу I 2 C, и процедур обмена данными с ними. Во-первых, энергонезависимая память данных этих микросхем разбита на страницы памяти, поэтому при записи байта вначале происходит копирование всей страницы во внутреннюю оперативную память микросхемы, где производится изменение нужной ячейки. После этого, производится стирание старой страницы и запись на её место новой. Ещё одной особенностью является то, что старшие четыре бита адреса ведомого устройства всегда должны быть равны 1010. Это требование регламентировано самой фирмой Philips.

Шина 1-Wire использует только один проводник для связи и питания. Режим связи – асинхронный и полудуплексный, который строго следует схеме ведущий-подчиненный. К одной и той же шине могут быть одновременно подключено одно или несколько подчиненных устройств. К одной шине может быть подключено только одно ведущее устройство.

Незанятому состоянию шины соответствует высокий уровень, который формируется подтягивающим резистором. Номинал подтягивающего резистора приводится в документации на подчиненную ИМС. Все микросхемы, подключенные к шине, должны быть способны создавать низкий уровень. Если выход микроконтроллера не поддерживает три состояния, то необходимо предусмотреть драйвер, у которого выход с открытым коллектором или открытым стоком

Передача сигналов по шине 1-Wire разделена на временные слоты длительностью 60 мкс. Одним временным слотом передается только один бит данных. Подчиненным устройствам допускается иметь существенные отличия от номинальных выдержек времени. Однако это требует более точного отсчета времени ведущим, чтобы гарантировать корректность связи с подчиненными, у которых различаются временные базисы.

Основные сигналы шины.

Ведущий инициирует каждую связь на битном уровне. Это означает, что передача каждого бита, независимо от направления, должна быть инициирована ведущим. Это достигается установкой низкого уровня на шине, который синхронизирует логику всех остальных устройств. Существует 5 основных команд для связи по шине 1-Wire: “Запись лог. 1”, “Запись лог. 0”, “Чтение”, “Сброс” и “Присутствие”.

Сигнал “Запись лог. 1”

Сигнал “Запись лог. 1” показан на рис. 7.15. Ведущий устанавливает низкий уровень в течение 1…15 мкс. После этого, в течение оставшейся части временного слота он освобождает шину.

Рис. 7.15 – Сигнал «Запись лог. 1»

Сигнал “Запись лог. 0”

Сигнал “Запись лог. 0” показан на рис 7.16. Ведущий формирует низкий уровень в течение не менее 60 мкс, но не дольше 120 мкс.

Рис 7.16 – Сигнал «Запись лог. 0»

Сигнал “Чтение”

Сигнал “Чтение” показан на рис. 7.17. Ведущий устанавливает низкий уровень в течение 1…15 мкс. После этого подчиненный удерживает шину в низком состоянии, если желает передать лог. 0. Если необходимо передать лог. 1, то он просто освобождает линию. Сканирование шины необходимо выполнять по истечении 15 мкс после установки низкого уровня на шине. Если смотреть со стороны ведущего, сигнал “Чтение” является, в сущности, сигналом «Запись лог. 1». Собственно внутреннее состояние подчиненного будет определять это сигнал «Запись лог. 1» или «Чтение».

Рис 7.17 – Сигнал «Чтение»

Сигнал “Сброс/присутствие”

Сигналы “Сброс” и “Присутствие” показаны на рис 7.18. Обратите внимание, что временные интервалы импульсов отличаются. Ведущий устанавливает низкий уровень в течение 8 временных слотов (480 мкс), а затем освобождает шину. Данный длительный период низкого состояния называется сигнал «Сброс».

Если на шине присутствует подчиненный, то он должен в течение 60 мкс после освобождения ведущим шины установить низкий уровень длительностью не менее 60 мкс. Данный отклик носит название «Присутствие». Если такой сигнал не обнаруживается, то ведущий должен полагать, что нет подключенных устройств к шине и дальнейшая связь невозможна.

USB (Universal serial bus) разрабатывался для оперативного подключения внешних устройств к персональному компьютеру с последующим нахождением и установкой нужного программного обеспечения. Питание маломощных устройств производится непосредственно через интерфейс.

Стандарт USB подразумевает в сети наличие только одного ведущего (Host) устройства. При этом стандарт поддерживает до 127 ведомых устройств в сети. Для различения ведущих и ведомых устройств были разработаны разные виды разъёмов (рис 7.19): Тип А – для ведущего и Тип В для ведомого. Принято, что напряжение 5В присутствует только на разъёме типа А, являющего ведущим. Остальные же устройства питаются непосредственно от него.

В стандарте USB используется 4 экранированных провода, из которых два передают питание (+5v & GND) (рис 7.19 и таблица 7.2). Остальные два представляют витую пару (twisted pair) дифференциальных сигналов данных. Используется схема кодирования NRZI (Non Return to Zero Invert, без возврата к нулю с инверсией) для передачи данных с полем синхронизации для синхронизации тактов ведущего и ведомых устройств.

В стандарте USB 2.0 появился стандарт On-The-Go (OTG), в котором введен протокол Host Negotiation Protocol, позволяющий двум устройствам USB договориться, кто будет выполнять роль ведущего. Это предназначено и ограничено одиночными подключениями точка-точка, например мобильный телефон – персональный компьютер.

USB поддерживает «горячее» (plug’n’play) соединение с динамически загружаемыми и выгружаемыми драйверами. Пользователь просто втыкает устройство, подключая его тем самым к шине. Хост детектирует присоединение, опрашивает свежевставленное устройство и загружает подходящий драйвер, индицируя песочными часами на экране момент загрузки (если драйвер для устройства USB уже установлен в системе). Конечный пользователь не заботится ни о терминировании, ни об IRQ (прерываниях) и адресах портов, ни о перезагрузке компьютера (перезагрузка не требуется). Когда пользователь закончил работу с USB-устройством, он просто вынимает его (или отсоединяет кабель), хост обнаружит отсутствие устройства и автоматически выгрузит драйвер.

SB версии 1.1 поддерживает две скорости – режим full speed со скоростью 12 Mbits/s и режим low speed со скоростью 1.5 Mbits/s. Режим 1.5 Mbits/s медленнее, и менее чуствителен к EMI (помехам), чем уменьшает стоимость ферритовых колец и снижает требования к качеству компонентов.

Кабель для поддержки полной скорости шины (full-speed) выполняется как витая пара, защищается экраном и может также использоваться для работы в режиме минимальной скорости (low-speed). Кабель для работы только на минимальной скорости (например, для подключения мыши) может быть любым и неэкранированным.

В стандарте USB 2.0 вводится режим High Speed со скоростью передачи данных 480Mbits/s.

Передача данных.

Все передачи данных по интерфейсу инициируются хостом. Данные передаются в виде пакетов. В интерфейсе USB используется несколько разновидностей пакетов:

А) пакет-признак (token paket ) описывает тип и направление передачи данных, адрес устройства и порядковый номер конечной точки (КТ - адресуемая часть USB-устройства); пакет-признаки бывают нескольких типов: IN , OUT , SOF , SETUP ;

Б) пакет с данными (data packet ) содержит передаваемые данные;

В) пакет согласования (handshake packet ) предназначен для сообщения о результатах пересылки данных; пакеты согласования бывают нескольких типов: ACK , NAK , STALL .

Передача данных по USB производится следующим образом:

Первый пакет, так называемый token, генерируется ведущим устройством для описания типа передаваемых данных, операции передачи (чтение или запись), адрес устройства и конечной точки (endpoint). Следующим обычно передаётся пакет данных, несущий полезную информацию, за которым идет пакет согласования (handshaking packet), сообщающий о том, что данные или token были приняты успешно, или конечная точка (endpoint) остановлена (stalled) или недоступна для принятия данных.

Конечными точками в стандарте USB называются источники и приёмники данных. Все устройства должны поддерживать конечную точку 0. Это конечная точка, которая принимает все управляющие запросы и запросы статуса во время энумерации (запрос дескриптора для определения типа подключенного устройства) и в течение всего времени, когда устройство остается работоспособным на шине.

Конечные точки с номерами от 1 используются для передачи пользовательской информации. Рассмотрим пару примеров.

Драйвер устройства передаёт на конечную точку ЕР1 ведущего устройства. Т.к. данное устройство является ведущим, то данные попадают в OUT буфер ЕР1. При этом посылается токен OUT, говорящий о готовности данных к отправке. Получив этот токен, ведомое устройство может считать данные из буфера OUT.

Если ведомому устройству требуется передать на ведущее данные, оно помещает их в буфер IN. В этом буфере данные будут до тех пор, пока ведущее устройство не отправит токен IN, запрашивая данные с конечной точки. Все буферы конечных точек называются по отношению к ведущему устройству, т.е. выходной буфер ведомого устройства называется IN т.к. он является входным буфером для ведущего устройства.

Передача данных от одной конечной точки к другой производится через потоки. Поток – логическое соединение между хостом и конечной точкой (точками).

Потоки также имеют набор параметров, таких как тип передачи (Control, Bulk, Iso или Interrupt), направление потока данных и максимальные размеры пакета/буфера.

Например, поток по умолчанию – это двунаправленный поток, составленный из IN конечной точки 0 и OUT конечной точки 0 с типом передачи control.

USB определяет два типа потоков (pipes)

А) Stream Pipes не имеют предопределенного USB формата, поэтому Вы можете послать данные любого типа через stream pipe и восстановить данные на другом конце. Потоки данных последовательны и имеют предопределенную направленность – IN или OUT. Stream pipes поддерживают типы передач bulk, isochronous и interrupt. Stream pipes могут управляться либо от хоста, либо от устройства.

Б) Message Pipes имеют предопределенный USB формат. Они управляются хостом, инициируются запросом, отправляемым от хоста. Данные пересылаются в нужном направлении, заданном в запросе. Таким образом, message pipes позволяют передавать данные в обоих направлениях, но поддерживают только передачи control.

Стандарт USB описывает четыре типа передачи данных:

А) Управляющая пересылка (control transfer ) используется для конфигурации устройства, а также для других специфических для конкретного устройства целей.

Б) Потоковая пересылка (bulk transfer ) используется для передачи относительно большого объема информации.

В) Пересылка с прерыванием (iterrupt transfer ) испольуется для передачи относительно небольшого объема информации, для которого важна своевременная его пересылка. Имеет ограниченную длительность и повышенный приоритет относительно других типов пересылок.

Г) Изохронная пересылка (isochronous transfer ) также называется потоковой пересылкой реального времени. Информация, передаваемая в такой пересылке, требует реального масштаба времени при ее создании, пересылке и приеме.

Потоковые пересылки характеризуются гарантированной безошибочной передачей данных между хостом и функцией посредством обнаружения ошибок при передаче и повторного запроса информации. Когда хост становится готовым принимать данные от функции, он в фазе передачи пакета-признака посылает функции IN -пакет. В ответ на это функция в фазе передачи данных передает хосту пакет с данными или, если она н

SPI - Serial Peripheral Interface - последовательный

периферийный интерфейс

SPI - последовательный синхронный стандарт передачи данных между микросхемами в режиме полного дуплекса.

Изначально данный интерфейс был разработан компанией Motorola для обеспечения простого и недорогого сопряжения микроконтроллеров и периферии, а в настоящее время используется в продукции многих производителей.

Интерфейс SPI, наряду с I2C, относится к самым широкоиспользуемым интерфейсам для соединения микросхем. Его наименование является аббревиатурой от “Serial Peripheral Interface” (англ. , SPI bus -

шина SPI), что отражает его предназначение - шина для подключения внешних устройств. Шина SPI организована по принципу "ведущийподчиненный". В качестве ведущего шины обычно выступает микроконтроллер, но им также может быть программируемая логика, DSPконтроллер или специализированная ИС. Подключенные к ведущему шины внешние устройства образуют подчиненных шины. В их роли выступают различного рода микросхемы, в т.ч. запоминающие устройства (EEPROM, Flash-память, SRAM), часы реального времени (RTC), АЦП/ЦАП, цифровые потенциометры, специализированные контроллеры и др.

Главным составным блоком интерфейса SPI является обычный сдвиговый регистр, сигналы синхронизации и ввода/вывода битового потока которого и образуют интерфейсные сигналы. Таким образом, протокол SPI правильнее назвать не протоколом передачи данных, а протоколом обмена данными между двумя сдвиговыми регистрами, каждый из которых одновременно выполняет и функцию приемника, и функцию передатчика.

1. Электрическое подключение

В отличие от стандартного последовательного порта (англ. standard serial port ), SPI является синхронным интерфейсом, в котором любая передача синхронизирована с общим тактовым сигналом, генерируемым ведущим устройством (процессором). Принимающая периферия (ведомая) синхронизирует получение битовой последовательности с тактовым сигналом. К одному последовательному периферийному интерфейсу ведущего устройства-микросхемы может присоединяться несколько микросхем. Ведущее устройство выбирает ведомое для передачи, активируя сигнал «выбор кристалла» (англ.chip select ) на ведомой микросхеме. Периферия, не выбранная процессором, не принимает участие

в передаче по SPI.

В SPI используются четыре цифровых сигнала:

MOSI (англ. Master Out Slave In )- выход ведущего устройства (альтернативное обозначение DO, SDO, DOUT) , вход ведомого устройства последовательного приема данных (альтернативное обозначение DI, SDI, DIN). Служит для передачи данных от ведущего устройства ведомому.

MISO (англ. Master In Slave Out ) - вход ведущего устройства последовательного приема данных (альтернативное обозначение DI, SDI, DIN), выход ведомого устройства последовательной передачи данных (альтернативное обозначение DO, SDO, DOUT). Служит для передачи данных от ведомого устройства ведущему.

SCLK (англ. Serial Clock ) - последовательный тактовый сигнал (альтернативное обозначение DCLOCK, CLK, SCK). Служит для передачи тактового сигнала для ведомых устройств.

CS или SS - выбор микросхемы, выбор ведомого устройства

(англ. Chip Select, Slave Select).

Существует три типа подключения к шине SPI, в каждом из которых участвуют четыре сигнала. Самое простое подключение, в котором участвуют только две микросхемы, показано на рисунке 1.

Рис. 1. Простейшее подключение к шине SPI

Здесь, ведущий шины передает данные по линии MOSI синхронно со сгенерированным им же сигналом SCLK, а подчиненный захватывает переданные биты данных по определенным фронтам принятого сигнала синхронизации. Одновременно с этим подчиненный отправляет свою посылку данных. Представленную схему можно упростить исключением линии MISO, если используемая подчиненная ИС не предусматривает ответную передачу данных или в ней нет потребности. Одностороннюю передачу данных можно встретить у таких микросхем как ЦАП, цифровые потенциометры, программируемые усилители и драйверы. Таким образом, рассматриваемый вариант подключения подчиненной ИС требует 3 или 4 линии связи. Чтобы подчиненная ИС принимала и передавала данные, помимо наличия сигнала синхронизации, необходимо также, чтобы линия SS была переведена в низкое состояние. В противном случае, подчиненная ИС будет неактивна. Когда используется только одна внешняя ИС, может возникнуть соблазн исключения и линии SS за счет жесткой установки низкого уровня на входе выбора подчиненной микросхемы. Такое решение крайне нежелательно и может привести к сбоям или вообще невозможности передачи данных, т.к. вход выбора микросхемы служит для перевода ИС в её исходное состояние и иногда инициирует вывод первого бита данных.

При необходимости подключения к шине SPI нескольких микросхем используется либо независимое (параллельное) подключение (рис. 2), либо каскадное (последовательное) (рис. 3).

Рис. 2. Независимое подключение к шине SPI

Рис. 3. Каскадное подключение к шине SPI

Независимое подключение более распространенное, т.к. достигается при использовании любых SPI-совместимых микросхем. Здесь, все сигналы, кроме выбора микросхем, соединены параллельно, а ведущий шины, переводом того или иного сигнала SS в низкое состояние, задает, с какой подчиненной ИС он будет обмениваться данными. Главным недостатком такого подключения является необходимость в дополнительных линиях для адресации подчиненных микросхем (общее число линий связи равно 3+n, где n-количество подчиненных микросхем). Каскадное включение избавлено от этого недостатка, т.к. здесь из

нескольких микросхем образуется один большой сдвиговый регистр. Для этого выход передачи данных одной ИС соединяется со входом приема данных другой, как показано на рисунке 3. Входы выбора микросхем здесь соединены параллельно и, таким образом, общее число линий связи сохранено равным 4. Однако использование каскадного подключения возможно только в том случае, если его поддержка указана в документации на используемые микросхемы. Чтобы выяснить это, важно знать, что такое подключение по-английски называется "daisy-chaining".

2. Протокол передачи

Протокол передачи по интерфейсу SPI предельно прост и, по сути, идентичен логике работы сдвигового регистра, которая заключается в выполнении операции сдвига и, соответственно, побитного ввода и вывода данных по определенным фронтам сигнала синхронизации. Установка данных при передаче и выборка при приеме всегда выполняются по противоположным фронтам синхронизации. Это необходимо для гарантирования выборки данных после надежного их установления. Если к этому учесть, что в качестве первого фронта в цикле передачи может выступать нарастающий или падающий фронт, то всего возможно четыре варианта логики работы интерфейса SPI. Эти варианты получили название режимов SPI и описываются двумя параметрами:

CPOL - исходный уровень сигнала синхронизации (если CPOL=0, то линия синхронизации до начала цикла передачи и после его окончания имеет низкий уровень (т.е. первый фронт нарастающий, а последний - падающий), иначе, если CPOL=1, - высокий (т.е. первый фронт падающий, а последний - нарастающий));

CPHA - фаза синхронизации; от этого параметра зависит, в какой последовательности выполняется установка и выборка данных (если CPHA=0, то по переднему фронту в цикле синхронизации будет выполняться выборка данных, а затем, по заднему фронту, - установка

данных; если же CPHA=1, то установка данных будет выполняться по переднему фронту в цикле синхронизации, а выборка - по заднему).

Ведущая и подчиненная микросхемы, работающие в различных режимах SPI, являются несовместимыми, поэтому, перед выбором подчиненных микросхем важно уточнить, какие режимы поддерживаются ведущим шины. Аппаратные модули SPI, интегрированные в микроконтроллеры, в большинстве случаев поддерживают возможность выбора любого режима SPI и, поэтому, к ним возможно подключение любых подчиненных SPI-микросхем (относится только к независимому варианту подключения). Кроме того, протокол SPI в любом из режимов легко реализуется программно.

Табл. 1. Режимы SPI

Временная

диаграмма

синхрониза

3. Cравнение с шиной I2 C

Как уже упоминалось, для стыковки микросхем не меньшей популярностью пользуется 2-проводная последовательная шина I2 C. Ниже можно ознакомиться с преимуществами, которая дает та или иная последовательная шина.

Преимущества шины SPI

Преимущества шины I2C

Предельная простота протокола

передачи на физическом уровне

обуславливает высокую надежность и

быстродействие передачи. Предельное

быстродействие шины SPI измеряется

Шина I2 C остается двухпроводной,

десятками мегагерц и, поэтому, она

независимо от количества

идеальна для потоковой передачи

подключенной к ней микросхем.

больших объемов данных и широко

используется в высокоскоростных

ЦАП/АЦП, драйверах светодиодных

дисплеев и микросхемах памяти

Все линии шины SPI являются

однонаправленными, что существенно

Возможность мультимастерной

упрощает решение задачи

работы, когда к шине подключено

преобразования уровней и

несколько ведущих микросхем.

гальванической изоляции микросхем

Протокол I2C является более

стандартизованным, поэтому,

Простота программной реализации

пользователь I2C-микросхем более

протокола SPI.

защищен от проблем

несовместимости выбранных

компонентов.

4. Производные и совместимые протоколы

MICROWIRE.

Протокол MICROWIRE компании National Semiconductor полностью идентичен протоколу SPI в режиме 0 (CPOL = 0, CPHA = 0).

3-проводной интерфейс компании Maxim

Отличие этого интерфейса состоит в том, что вместо полнодуплексной передачи по двум однонаправленным линиям здесь выполняется полудуплексная передача по одной двунаправленной линии DQ.

QSPI

Более высокоуровневый протокол, чем SPI, позволяющий автоматизировать передачу данных без участия ЦПУ.

Кроме того, интерфейс SPI является основой для построения ряда специализированных интерфейсов, в т.ч. отладочный интерфейс JTAG и интерфейсы карт Flash-памяти, в т.ч. SD и MMC.

SPI (Serial Peripheral Interface, последовательный периферийный интерфейс, шина SPI ) - Это интерфейс для передачи данных на короткое расстояние, разработанный Motorola. Данные передаются в режиме полного дуплекса (в обе стороны) используя архитектуру ведущий - ведомый (master-slave). SPI также иногда называют четырёхпроводным (four-wire) интерфейсом.

Рис.1 стандартное общение по SPI

В шине SPI используются 4 цифровых сигнала:
  • MOSI : (Master Out Slave In) выход ведущего, вход ведомого.
  • MISO : (Master In Slave Out) вход ведущего, выход ведомого.
  • SCLK : (Serial Clock) тактовый сигнал.
  • CS или SS : (Chip Select, Slave Select) выбор микросхемы, выбор ведомого.

Возможны другие имена:

  • MOSI : SIMO, SDO, DO, DOUT, SI, MTSR;
  • MISO : SOMI, SDI, DI, DIN, SO, MRST;
  • SCLK : SCK, CLK;
  • SS : nCS, CS, CSB, CSN, nSS, STE, SYNC.
Шина SPI может работать с 1 ведущим (master) и несколькими ведомыми (slave) устройствами. Если используется одно ведомое устройство, то его вход SS можно заземлить, но только если он не работает по срезу сигнала.

Если использовать несколько ведомых устройств, то нужно повесить подтягивающие резисторы на каждый из выводов SS и убедиться что выходы MISO каждого из устройств подключены через буфер с высокоимпедансным состоянием (практически вывод считается отключённым), это может быть реализовано внутри микросхемы, нужно изучать документацию на конкретное устройство.

Если внутри устройств не предусмотрен буфер, то линия MISO будет всегда в состоянии лог.0 или лог.1. (также она может сгореть). Чтобы проверить есть ли внутри нашего устройства буфер, можно прочитать документацию или подключить делитель напряжения, чтобы на линии MISO была половина напряжения питания, затем замерить настоящее напряжение. Если замеренное нами значение отличается (будет 0 В или напряжение питания), то буфера нет и его нужно поставить отдельной микросхемой.


Рис.3 Проверка присутствия внутреннего буфера

Передача данных


Ведущий и ведомый передают друг другу данные одновременно. Сперва нужно выбрать ведомое устройство выставив на его входе SS низкий логический уровень (может меняться в зависимости от производителя). Данные для передачи помещаются в сдвиговые регистры. Затем ведущий генерирует синхросигналы частотой около нескольких МГц, ведущий и ведомый начинают посылать друг другу информацию хранимую в сдвиговых регистрах бит за битом начиная со старшего разряда.

Рис.4 Передача данных по SPI
Всего используется 2 сдвиговых регистра, старший бит из одного устройства передаётся в младший бит другого устройства, после чего регистр сдвигает хранимую в нём информацию. Количество бит в 1 пакете данных зависит от конкретного устройства, некоторые производители добавляют возможность изменять длину пакета.

Если нужно передать больше данных, то в регистры записывается новая информация и процесс начинается заново, если передача данных закончилась, ведущий обычно отключает ведомое устройство.

Для настройки интерфейса используются несколько регистров, Можно регулировать частоту, прерывания, порядок бит и многое другое, подробнее об этом можно узнать в документации от Motorola ee.nmt.edu , этот документ взят как стандарт для SPI . Но производители могут не использовать всех настроек описанных там, также биты могут быть расставлены в другой последовательности в отличии от описания Motorola. В любом случае нужно читать документацию на конкретное устройство.

Если про настройку частоты передачи и выбор ведущего/ведомого понятно, то про настройку фазы и полярности тактового сигнала можно расписать подробней.

В принципе, эти настройки можно понять из временной диаграммы:

  • CPOL = 0: сигнал синхронизации начинается с низкого уровня;
  • CPOL = 1: сигнал синхронизации начинается с высокого уровня;
  • CPHA = 0: данные записываются по переднему фронту сигнала синхронизации;
  • CPHA = 1: данные записываются по заднему фронту сигнала синхронизации.
Задний и передний фронты обозначают первое изменение синхросигнала, либо второе. Это не зависит от того в какое состояние переходит линия SCK , это может быть как спадающий так и нарастающий фронт.

В зависимости от состояния битов CPHA и CPOL , различают 4 режима работы SPI интерфейса (0, 1, 2 или 3). Но в зависимости от производителя они часто соответствуют разным состояниям битов, к примеру, у ARM и PIC32MX контроллеров ни 1 из режимов не совпадает.

Есть 2 популярных способа включения нескольких SPI, первый из них подключение "звезда" (daisy chain):

В этом случае ведущий выбирает, кому из ведомых устройств следует передать данные.

Может быть такое что ведомые устройства не совместимы друг с другом и им нужны разные настройки, к примеру различная длина пакета, в таком случае используют соединение типа "кольцо":

В данном случае все устройства включаются одновременно и данные передаются последовательно, для того чтобы передать информацию какому либо устройству, либо от него ведущему, необходимо пройти несколько циклов передачи.

Заключение


SPI интерфейс заслужил свою популярность благодаря простоте и дешевизне. Сейчас его можно встретить в огромном количестве устройств, С его помощью программируются МК, JTAG также реализован на основе SPI. он часто используется для общения с различными микросхемами: Flash память, EEPROM, LCD, SD карты, АЦП, ЦАП микросхемы и многое другое.

Хоть стандарт и описан Моторолой, нет чётких определений и границ для SPI , из-за чего можно встретить различные реализации этого интерфейса, может использоваться другое количество сигнальных линий, количество бит в пакете и другие способы настройки. Так что сперва нужно читать документацию на устройство с которым вы работаете.

Есть готовые реализации SPI "трансиверов" которые можно подключить к компьютеру, что может быть полезно для отладки различных проектов, также новые осциллографы и логические анализаторы могут расшифровывать SPI пакеты.

Преимущества

  • Полнодуплексная передача данных.
  • Более высокая пропускная способность по сравнению с I²C или SMBus.
  • Возможность произвольного выбора длины пакета.
  • более низкие требования к энергопотреблению по сравнению с I²C и SMBus;
  • возможно использование в системах с низко стабильной тактовой частотой;
  • ведомым устройствам не нужен уникальный адрес, в отличие от таких интерфейсов, как I²C, GPIB или SCSI.
  • Используется только четыре вывода, что гораздо меньше, чем для параллельных интерфейсов.
  • Однонаправленный характер сигналов позволяет при необходимости легко организовать гальваническую развязку между ведущим и ведомыми устройствами.
  • Максимальная тактовая частота ограничена только быстродействием устройств, участвующих в обмене данными.

Недостатки

  • Необходимо больше выводов, чем для интерфейса I²C.
  • Ведомое устройство не может управлять потоком данных.
  • Нет подтверждения приема данных со стороны ведомого устройства (ведущее устройство может передавать данные «в никуда»).
  • Нет определенного стандартом протокола обнаружения ошибок.
  • Отсутствие официального стандарта, что делает невозможным сертификацию устройств.
  • По дальности передачи данных интерфейс SPI уступает таким стандартам, как UART и CAN.
  • Наличие множества вариантов реализации интерфейса.
  • Отсутствие поддержки горячего подключения устройств.

Источники:
Документация от Motorola

 

 

Это интересно: